您的位置:首页>房产 >内容

线性反馈移位寄存器verilog代码(简单的verilog代码移位寄存器不出波形)

2022-07-12 06:50:22来源:
导读想必现在有很多小伙伴对于简单的verilog代码移位寄存器不出波形方面的知识都比较想要了解,那么今天小好小编就为大家收集了一些关于简单的

想必现在有很多小伙伴对于简单的verilog代码移位寄存器不出波形方面的知识都比较想要了解,那么今天小好小编就为大家收集了一些关于简单的verilog代码移位寄存器不出波形方面的知识分享给大家,希望大家会喜欢哦。

阻塞赋值和非阻塞赋值一般不放在同一个过程语句中,对于你的代码,可以将dout[7]=din;这一句改为dout[7]<=din;

祝好运!

本文到此结束,希望对大家有所帮助。

免责声明:本文由用户上传,如有侵权请联系删除!

猜你喜欢

最新文章